QPSK_better.zip
大小:39.08MB
价格:42积分
下载量:0
评分:
5.0
上传者:glassca
更新日期:2024-08-12

基于VIVADO的QPSK调制工程(已优化)

资源文件列表(大概)

文件名
大小
010.BMP
25.85KB
011.BMP
26.07KB
013.BMP
40.61KB
014.BMP
26.25KB
015.BMP
26.5KB
016.BMP
26.08KB
017.BMP
25.97KB
018.BMP
26.19KB
019.BMP
26.36KB
ATK-ADDA/
-
ATK-ADDA/.Xil/
-
ATK-ADDA/.Xil/Vivado-27304-DESKTOP-84IQMSH/
-
ATK-ADDA/.Xil/Vivado-27304-DESKTOP-84IQMSH/wave/
-
ATK-ADDA/.Xil/Vivado-32816-DESKTOP-84IQMSH/
-
ATK-ADDA/.Xil/Vivado-32816-DESKTOP-84IQMSH/wave/
-
ATK-ADDA/.Xil/Vivado-4120-DESKTOP-84IQMSH/
-
ATK-ADDA/.Xil/Vivado-4120-DESKTOP-84IQMSH/wave/
-
ATK-ADDA/ATK-ADDA.cache/
-
ATK-ADDA/ATK-ADDA.cache/compile_simlib/
-
ATK-ADDA/ATK-ADDA.cache/compile_simlib/activehdl/
-
ATK-ADDA/ATK-ADDA.cache/compile_simlib/modelsim/
-
ATK-ADDA/ATK-ADDA.cache/compile_simlib/questa/
-
ATK-ADDA/ATK-ADDA.cache/compile_simlib/riviera/
-
ATK-ADDA/ATK-ADDA.cache/compile_simlib/vcs/
-
ATK-ADDA/ATK-ADDA.cache/compile_simlib/xcelium/
-
ATK-ADDA/ATK-ADDA.cache/ip/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/0/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/0/0/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/0/0/00d402fecbd1fab8/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/0/0/00d402fecbd1fab8/00d402fecbd1fab8.xci
36.9KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/0/0/00d402fecbd1fab8/data_clock.dcp
11.99KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/0/0/00d402fecbd1fab8/data_clock_sim_netlist.v
7.53KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/0/0/00d402fecbd1fab8/data_clock_sim_netlist.vhdl
7.21KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/0/0/00d402fecbd1fab8/data_clock_stub.v
1.42KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/0/0/00d402fecbd1fab8/data_clock_stub.vhdl
1.38KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/2192b3dd145dd3a6/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/2192b3dd145dd3a6/2192b3dd145dd3a6.xci
12.62KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/2192b3dd145dd3a6/blk_mem_gen_0.dcp
31.57KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/2192b3dd145dd3a6/blk_mem_gen_0_sim_netlist.v
41.68KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/2192b3dd145dd3a6/blk_mem_gen_0_sim_netlist.vhdl
61.41KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/2192b3dd145dd3a6/blk_mem_gen_0_stub.v
1.45KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/2192b3dd145dd3a6/blk_mem_gen_0_stub.vhdl
1.51KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/21d03b8c4ad5382f/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/21d03b8c4ad5382f/21d03b8c4ad5382f.xci
12.6KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/21d03b8c4ad5382f/cos_64.dcp
30.34KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/21d03b8c4ad5382f/cos_64_sim_netlist.v
41.44KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/21d03b8c4ad5382f/cos_64_sim_netlist.vhdl
61.2KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/21d03b8c4ad5382f/cos_64_stub.v
1.43KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/2/1/21d03b8c4ad5382f/cos_64_stub.vhdl
1.5KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/3/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/3/7/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/3/7/37373f7569e298c4/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/3/7/37373f7569e298c4/37373f7569e298c4.xci
36.9KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/3/7/37373f7569e298c4/data_clock.dcp
11.99KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/3/7/37373f7569e298c4/data_clock_sim_netlist.v
7.53KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/3/7/37373f7569e298c4/data_clock_sim_netlist.vhdl
7.21KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/3/7/37373f7569e298c4/data_clock_stub.v
1.42KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/3/7/37373f7569e298c4/data_clock_stub.vhdl
1.38KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/0/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/0/40d2d0963c1f5dde/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/0/40d2d0963c1f5dde/40d2d0963c1f5dde.xci
36.9KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/0/40d2d0963c1f5dde/data_clock.dcp
11.99KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/0/40d2d0963c1f5dde/data_clock_sim_netlist.v
7.53KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/0/40d2d0963c1f5dde/data_clock_sim_netlist.vhdl
7.21KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/0/40d2d0963c1f5dde/data_clock_stub.v
1.42KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/0/40d2d0963c1f5dde/data_clock_stub.vhdl
1.38KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/c/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/c/4ca7aa6df0aa3d87/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/c/4ca7aa6df0aa3d87/4ca7aa6df0aa3d87.xci
12.62KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/c/4ca7aa6df0aa3d87/blk_mem_gen_0.dcp
31.6KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/c/4ca7aa6df0aa3d87/blk_mem_gen_0_sim_netlist.v
41.68KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/c/4ca7aa6df0aa3d87/blk_mem_gen_0_sim_netlist.vhdl
61.41KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/c/4ca7aa6df0aa3d87/blk_mem_gen_0_stub.v
1.45KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/4/c/4ca7aa6df0aa3d87/blk_mem_gen_0_stub.vhdl
1.51KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/2/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/2/524312fcde8d1136/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/2/524312fcde8d1136/524312fcde8d1136.xci
36.88KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/2/524312fcde8d1136/data_clock.dcp
9.77KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/2/524312fcde8d1136/data_clock_sim_netlist.v
7.24KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/2/524312fcde8d1136/data_clock_sim_netlist.vhdl
6.65KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/2/524312fcde8d1136/data_clock_stub.v
1.48KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/2/524312fcde8d1136/data_clock_stub.vhdl
1.38KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/e/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/e/5e6ecadb32c3db14/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/e/5e6ecadb32c3db14/5e6ecadb32c3db14.xci
36.9KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/e/5e6ecadb32c3db14/clk_wiz_0.dcp
11.96KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/e/5e6ecadb32c3db14/clk_wiz_0_sim_netlist.v
7.52KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/e/5e6ecadb32c3db14/clk_wiz_0_sim_netlist.vhdl
7.2KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/e/5e6ecadb32c3db14/clk_wiz_0_stub.v
1.41KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/5/e/5e6ecadb32c3db14/clk_wiz_0_stub.vhdl
1.38KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/6/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/6/f/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/6/f/6f369b5a3a3caf37/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/6/f/6f369b5a3a3caf37/6f369b5a3a3caf37.xci
12.62KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/6/f/6f369b5a3a3caf37/blk_mem_gen_0.dcp
31.47KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/6/f/6f369b5a3a3caf37/blk_mem_gen_0_sim_netlist.v
41.68KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/6/f/6f369b5a3a3caf37/blk_mem_gen_0_sim_netlist.vhdl
61.41KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/6/f/6f369b5a3a3caf37/blk_mem_gen_0_stub.v
1.45KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/6/f/6f369b5a3a3caf37/blk_mem_gen_0_stub.vhdl
1.51KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/7/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/7/4/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/7/4/74f12123e5259c37/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/7/4/74f12123e5259c37/74f12123e5259c37.xci
36.88KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/7/4/74f12123e5259c37/clk_wiz_0.dcp
9.75KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/7/4/74f12123e5259c37/clk_wiz_0_sim_netlist.v
7.23KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/7/4/74f12123e5259c37/clk_wiz_0_sim_netlist.vhdl
6.63KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/7/4/74f12123e5259c37/clk_wiz_0_stub.v
1.48KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/7/4/74f12123e5259c37/clk_wiz_0_stub.vhdl
1.38KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/9/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/9/9/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/9/9/99db10364075988b/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/9/9/99db10364075988b/99db10364075988b.xci
12.62KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/9/9/99db10364075988b/blk_mem_gen_0.dcp
31.6KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/9/9/99db10364075988b/blk_mem_gen_0_sim_netlist.v
41.68KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/9/9/99db10364075988b/blk_mem_gen_0_sim_netlist.vhdl
61.41KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/9/9/99db10364075988b/blk_mem_gen_0_stub.v
1.45KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/9/9/99db10364075988b/blk_mem_gen_0_stub.vhdl
1.51KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/1/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/1/c1ec406ef44bb299/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/1/c1ec406ef44bb299/c1ec406ef44bb299.xci
36.88KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/1/c1ec406ef44bb299/data_clock.dcp
9.77KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/1/c1ec406ef44bb299/data_clock_sim_netlist.v
7.24KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/1/c1ec406ef44bb299/data_clock_sim_netlist.vhdl
6.65KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/1/c1ec406ef44bb299/data_clock_stub.v
1.48KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/1/c1ec406ef44bb299/data_clock_stub.vhdl
1.38KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/4/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/4/c498179ab2549815/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/4/c498179ab2549815/blk_mem_gen_0.dcp
31.6KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/4/c498179ab2549815/blk_mem_gen_0_sim_netlist.v
41.68KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/4/c498179ab2549815/blk_mem_gen_0_sim_netlist.vhdl
61.41KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/4/c498179ab2549815/blk_mem_gen_0_stub.v
1.45KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/4/c498179ab2549815/blk_mem_gen_0_stub.vhdl
1.51KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/4/c498179ab2549815/c498179ab2549815.xci
12.62KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/c/4/c498179ab2549815/stats.txt
55B
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/0/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/0/d0e8d53a94e2c421/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/0/d0e8d53a94e2c421/d0e8d53a94e2c421.xci
36.88KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/0/d0e8d53a94e2c421/data_clock.dcp
9.75KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/0/d0e8d53a94e2c421/data_clock_sim_netlist.v
7.24KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/0/d0e8d53a94e2c421/data_clock_sim_netlist.vhdl
6.65KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/0/d0e8d53a94e2c421/data_clock_stub.v
1.48KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/0/d0e8d53a94e2c421/data_clock_stub.vhdl
1.38KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/7/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/7/d764de1088252f0e/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/7/d764de1088252f0e/blk_mem_gen_0.dcp
31.63KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/7/d764de1088252f0e/blk_mem_gen_0_sim_netlist.v
41.68KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/7/d764de1088252f0e/blk_mem_gen_0_sim_netlist.vhdl
61.41KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/7/d764de1088252f0e/blk_mem_gen_0_stub.v
1.45KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/7/d764de1088252f0e/blk_mem_gen_0_stub.vhdl
1.51KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/d/7/d764de1088252f0e/d764de1088252f0e.xci
12.62KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/e/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/e/4/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/e/4/e4f38db93049ab03/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/e/4/e4f38db93049ab03/blk_mem_gen_0.dcp
31.57KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/e/4/e4f38db93049ab03/blk_mem_gen_0_sim_netlist.v
41.68KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/e/4/e4f38db93049ab03/blk_mem_gen_0_sim_netlist.vhdl
61.41KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/e/4/e4f38db93049ab03/blk_mem_gen_0_stub.v
1.45KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/e/4/e4f38db93049ab03/blk_mem_gen_0_stub.vhdl
1.51KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/e/4/e4f38db93049ab03/e4f38db93049ab03.xci
12.62KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/f/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/f/7/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/f/7/f7dacb2eb3e46aa3/
-
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/f/7/f7dacb2eb3e46aa3/blk_mem_gen_0.dcp
31.6KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/f/7/f7dacb2eb3e46aa3/blk_mem_gen_0_sim_netlist.v
41.68KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/f/7/f7dacb2eb3e46aa3/blk_mem_gen_0_sim_netlist.vhdl
61.41KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/f/7/f7dacb2eb3e46aa3/blk_mem_gen_0_stub.v
1.45KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/f/7/f7dacb2eb3e46aa3/blk_mem_gen_0_stub.vhdl
1.51KB
ATK-ADDA/ATK-ADDA.cache/ip/2023.1/f/7/f7dacb2eb3e46aa3/f7dacb2eb3e46aa3.xci
12.62KB
ATK-ADDA/ATK-ADDA.cache/sim/
-
ATK-ADDA/ATK-ADDA.cache/sim/ssm.db
600B
ATK-ADDA/ATK-ADDA.cache/wt/
-
ATK-ADDA/ATK-ADDA.cache/wt/project.wpc
123B
ATK-ADDA/ATK-ADDA.cache/wt/synthesis.wdf
6.59KB
ATK-ADDA/ATK-ADDA.cache/wt/synthesis_details.wdf
100B
ATK-ADDA/ATK-ADDA.cache/wt/webtalk_pa.xml
1.09KB
ATK-ADDA/ATK-ADDA.cache/wt/xsim.wdf
239B
ATK-ADDA/ATK-ADDA.gen/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.veo
2.96KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.vho
3.2KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.xml
223.95KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_ooc.xdc
2.6KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/doc/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/doc/blk_mem_gen_v8_4_changelog.txt
8.42KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/hdl/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd
14.19MB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/misc/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/misc/blk_mem_gen_v8_4.vhd
8.13KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/sim/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/sim/blk_mem_gen_0.v
6.16KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/simulation/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/simulation/blk_mem_gen_v8_4.v
167.33KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/summary.log
899B
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/synth/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0/synth/blk_mem_gen_0.vhd
14.21KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/blk_mem_gen_0.dcp
31.56KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/blk_mem_gen_0.veo
2.96KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/blk_mem_gen_0.vho
3.2KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/blk_mem_gen_0.xml
226.62KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/blk_mem_gen_0_ooc.xdc
2.6KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/blk_mem_gen_0_sim_netlist.v
41.17KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/blk_mem_gen_0_sim_netlist.vhdl
57.4KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/blk_mem_gen_0_stub.v
1.36KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/blk_mem_gen_0_stub.vhdl
1.37KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/doc/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/doc/blk_mem_gen_v8_4_changelog.txt
8.42KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/hdl/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd
14.19MB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/misc/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/misc/blk_mem_gen_v8_4.vhd
8.13KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/sim/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/sim/blk_mem_gen_0.v
6.16KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/simulation/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/simulation/blk_mem_gen_v8_4.v
167.33KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/summary.log
899B
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/synth/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/blk_mem_gen_0_1/synth/blk_mem_gen_0.vhd
14.21KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp
9.84KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.v
3.88KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.veo
3.56KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc
2.59KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0.xml
294.89KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc
60B
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v
6.69KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_late.xdc
2.16KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc
2.48KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
7.1KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
6.33KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v
1.44KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
1.27KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/doc/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/doc/clk_wiz_v6_0_changelog.txt
9.37KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_mmcm.vh
23.75KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh
18.65KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_mmcm.vh
23.74KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh
18.39KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_mmcm.vh
31.22KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_plus_pll.vh
18.66KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/cos_64.dcp
30.64KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/cos_64.mif
576B
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/cos_64.veo
2.94KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/cos_64.vho
3.17KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/cos_64.xml
226.47KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/cos_64_ooc.xdc
2.6KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/cos_64_sim_netlist.v
41.23KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/cos_64_sim_netlist.vhdl
57.3KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/cos_64_stub.v
1.38KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/cos_64_stub.vhdl
1.38KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/doc/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/doc/blk_mem_gen_v8_4_changelog.txt
8.42KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/hdl/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd
14.19MB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/misc/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/misc/blk_mem_gen_v8_4.vhd
8.13KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/sim/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/sim/cos_64.v
6.14KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/simulation/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/simulation/blk_mem_gen_v8_4.v
167.33KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/summary.log
898B
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/synth/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/cos_64/synth/cos_64.vhd
14.09KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock.dcp
9.87KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock.v
3.89KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock.veo
3.56KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock.xdc
2.59KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock.xml
294.89KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock_board.xdc
60B
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock_clk_wiz.v
6.71KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock_late.xdc
2.16KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock_ooc.xdc
2.49KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock_sim_netlist.v
7.11KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock_sim_netlist.vhdl
6.35KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock_stub.v
1.44KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/data_clock_stub.vhdl
1.28KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/doc/
-
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/doc/clk_wiz_v6_0_changelog.txt
9.37KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/mmcm_pll_drp_func_7s_mmcm.vh
23.75KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/mmcm_pll_drp_func_7s_pll.vh
18.65KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/mmcm_pll_drp_func_us_mmcm.vh
23.74KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/mmcm_pll_drp_func_us_pll.vh
18.39KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/mmcm_pll_drp_func_us_plus_mmcm.vh
31.22KB
ATK-ADDA/ATK-ADDA.gen/sources_1/ip/data_clock/mmcm_pll_drp_func_us_plus_pll.vh
18.66KB
ATK-ADDA/ATK-ADDA.hw/
-
ATK-ADDA/ATK-ADDA.hw/ATK-ADDA.lpr
478B
ATK-ADDA/ATK-ADDA.hw/hw_1/
-
ATK-ADDA/ATK-ADDA.hw/hw_1/hw.xml
916B
ATK-ADDA/ATK-ADDA.hw/hw_1/wave/
-
ATK-ADDA/ATK-ADDA.ip_user_files/
-
ATK-ADDA/ATK-ADDA.ip_user_files/ip/
-
ATK-ADDA/ATK-ADDA.ip_user_files/ip/blk_mem_gen_0/
-
ATK-ADDA/ATK-ADDA.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0.veo
2.96KB
ATK-ADDA/ATK-ADDA.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0.vho
3.2KB
ATK-ADDA/ATK-ADDA.ip_user_files/ip/clk_wiz_0/
-
ATK-ADDA/ATK-ADDA.ip_user_files/ip/clk_wiz_0/clk_wiz_0.veo
3.56KB
ATK-ADDA/ATK-ADDA.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.v
1.44KB
ATK-ADDA/ATK-ADDA.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.vhdl
1.27KB
ATK-ADDA/ATK-ADDA.ip_user_files/ip/cos_64/
-
ATK-ADDA/ATK-ADDA.ip_user_files/ip/cos_64/cos_64.veo
2.94KB
ATK-ADDA/ATK-ADDA.ip_user_files/ip/cos_64/cos_64.vho
3.17KB
ATK-ADDA/ATK-ADDA.ip_user_files/ip/cos_64/cos_64_stub.v
1.38KB
ATK-ADDA/ATK-ADDA.ip_user_files/ip/cos_64/cos_64_stub.vhdl
1.38KB
ATK-ADDA/ATK-ADDA.ip_user_files/ip/data_clock/
-
ATK-ADDA/ATK-ADDA.ip_user_files/ip/data_clock/data_clock.veo
3.56KB
ATK-ADDA/ATK-ADDA.ip_user_files/ip/data_clock/data_clock_stub.v
1.44KB
ATK-ADDA/ATK-ADDA.ip_user_files/ip/data_clock/data_clock_stub.vhdl
1.28KB
ATK-ADDA/ATK-ADDA.ip_user_files/ipstatic/
-
ATK-ADDA/ATK-ADDA.ip_user_files/ipstatic/mmcm_pll_drp_func_7s_mmcm.vh
23.75KB
ATK-ADDA/ATK-ADDA.ip_user_files/ipstatic/mmcm_pll_drp_func_7s_pll.vh
18.65KB
ATK-ADDA/ATK-ADDA.ip_user_files/ipstatic/mmcm_pll_drp_func_us_mmcm.vh
23.74KB
ATK-ADDA/ATK-ADDA.ip_user_files/ipstatic/mmcm_pll_drp_func_us_pll.vh
18.39KB
ATK-ADDA/ATK-ADDA.ip_user_files/ipstatic/mmcm_pll_drp_func_us_plus_mmcm.vh
31.22KB
ATK-ADDA/ATK-ADDA.ip_user_files/ipstatic/mmcm_pll_drp_func_us_plus_pll.vh
18.66KB
ATK-ADDA/ATK-ADDA.ip_user_files/ipstatic/simulation/
-
ATK-ADDA/ATK-ADDA.ip_user_files/ipstatic/simulation/blk_mem_gen_v8_4.v
167.33KB
ATK-ADDA/ATK-ADDA.ip_user_files/mem_init_files/
-
ATK-ADDA/ATK-ADDA.ip_user_files/mem_init_files/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.ip_user_files/mem_init_files/cos_256point_new.coe
1.31KB
ATK-ADDA/ATK-ADDA.ip_user_files/mem_init_files/cos_64.mif
576B
ATK-ADDA/ATK-ADDA.ip_user_files/mem_init_files/cos_64point_new.coe
385B
ATK-ADDA/ATK-ADDA.ip_user_files/mem_init_files/sin_256point_new.coe
1.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/mem_init_files/summary.log
898B
ATK-ADDA/ATK-ADDA.ip_user_files/README.txt
130B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/activehdl/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/activehdl/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/activehdl/blk_mem_gen_0.sh
8.95KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/activehdl/blk_mem_gen_0.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/activehdl/compile.do
955B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/activehdl/cos_256point_new.coe
1.31KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/activehdl/file_info.txt
546B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/activehdl/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/activehdl/README.txt
2.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/activehdl/simulate.do
322B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/activehdl/sin_256point_new.coe
1.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/activehdl/summary.log
899B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/blk_mem_gen_0.sh
9.38KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/blk_mem_gen_0.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/compile.do
865B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/cos_256point_new.coe
1.31KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/file_info.txt
546B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/README.txt
2.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/simulate.do
393B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/sin_256point_new.coe
1.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/summary.log
899B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/modelsim/wave.do
32B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/blk_mem_gen_0.sh
9.57KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/blk_mem_gen_0.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/compile.do
849B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/cos_256point_new.coe
1.31KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/elaborate.do
239B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/file_info.txt
546B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/README.txt
2.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/simulate.do
255B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/sin_256point_new.coe
1.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/summary.log
899B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/questa/wave.do
32B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/README.txt
3.16KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/riviera/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/riviera/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/riviera/blk_mem_gen_0.sh
8.99KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/riviera/blk_mem_gen_0.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/riviera/compile.do
963B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/riviera/cos_256point_new.coe
1.31KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/riviera/file_info.txt
546B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/riviera/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/riviera/README.txt
2.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/riviera/simulate.do
322B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/riviera/sin_256point_new.coe
1.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/riviera/summary.log
899B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/vcs/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/vcs/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/vcs/blk_mem_gen_0.sh
11.27KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/vcs/cos_256point_new.coe
1.31KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/vcs/file_info.txt
546B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/vcs/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/vcs/README.txt
2.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/vcs/simulate.do
11B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/vcs/sin_256point_new.coe
1.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/vcs/summary.log
899B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xcelium/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xcelium/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xcelium/blk_mem_gen_0.sh
11.51KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xcelium/cos_256point_new.coe
1.31KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xcelium/file_info.txt
546B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xcelium/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xcelium/hdl.var
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xcelium/README.txt
2.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xcelium/simulate.do
117B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xcelium/sin_256point_new.coe
1.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xcelium/summary.log
899B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/blk_mem_gen_0.sh
9.99KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/cmd.tcl
466B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/cos_256point_new.coe
1.31KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/elab.opt
223B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/file_info.txt
153B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/README.txt
2.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/sin_256point_new.coe
1.42KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/summary.log
899B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/vlog.prj
180B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/blk_mem_gen_0_1/xsim/xsim.ini
29.19KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/activehdl/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.sh
8.88KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/activehdl/compile.do
864B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/activehdl/file_info.txt
820B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/activehdl/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/activehdl/simulate.do
288B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/modelsim/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.sh
9.32KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do
788B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/modelsim/file_info.txt
820B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/modelsim/simulate.do
363B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do
32B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/questa/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh
9.51KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do
776B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do
209B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/questa/file_info.txt
820B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do
247B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do
32B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/riviera/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.sh
8.93KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do
868B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/riviera/file_info.txt
820B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do
288B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/vcs/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh
11.19KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt
865B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do
11B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xcelium/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xcelium/clk_wiz_0.sh
11.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xcelium/file_info.txt
865B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xcelium/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xcelium/hdl.var
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xcelium/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xcelium/simulate.do
117B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xsim/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh
9.91KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl
466B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xsim/elab.opt
193B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt
370B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj
268B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/clk_wiz_0/xsim/xsim.ini
29.19KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/activehdl/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/activehdl/compile.do
954B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/activehdl/cos_64.mif
576B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/activehdl/cos_64.sh
8.83KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/activehdl/cos_64.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/activehdl/cos_64point_new.coe
385B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/activehdl/file_info.txt
538B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/activehdl/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/activehdl/README.txt
2.4KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/activehdl/simulate.do
301B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/activehdl/summary.log
898B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/modelsim/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/modelsim/compile.do
864B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/modelsim/cos_64.mif
576B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/modelsim/cos_64.sh
9.27KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/modelsim/cos_64.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/modelsim/cos_64point_new.coe
385B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/modelsim/file_info.txt
538B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/modelsim/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/modelsim/README.txt
2.4KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/modelsim/simulate.do
379B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/modelsim/summary.log
898B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/modelsim/wave.do
32B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/compile.do
848B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/cos_64.mif
576B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/cos_64.sh
9.46KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/cos_64.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/cos_64point_new.coe
385B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/elaborate.do
225B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/file_info.txt
538B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/README.txt
2.4KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/simulate.do
241B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/summary.log
898B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/questa/wave.do
32B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/riviera/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/riviera/compile.do
962B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/riviera/cos_64.mif
576B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/riviera/cos_64.sh
8.88KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/riviera/cos_64.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/riviera/cos_64point_new.coe
385B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/riviera/file_info.txt
538B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/riviera/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/riviera/README.txt
2.4KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/riviera/simulate.do
301B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/riviera/summary.log
898B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/vcs/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/vcs/cos_64.mif
576B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/vcs/cos_64.sh
11.12KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/vcs/cos_64point_new.coe
385B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/vcs/file_info.txt
538B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/vcs/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/vcs/README.txt
2.4KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/vcs/simulate.do
11B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/vcs/summary.log
898B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xcelium/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xcelium/cos_64.mif
576B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xcelium/cos_64.sh
11.37KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xcelium/cos_64point_new.coe
385B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xcelium/file_info.txt
538B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xcelium/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xcelium/hdl.var
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xcelium/README.txt
2.4KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xcelium/simulate.do
117B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xcelium/summary.log
898B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xsim/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xsim/cmd.tcl
466B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xsim/cos_64.mif
576B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xsim/cos_64.sh
9.85KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xsim/cos_64point_new.coe
385B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xsim/elab.opt
209B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xsim/file_info.txt
130B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xsim/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xsim/README.txt
2.4KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xsim/summary.log
898B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xsim/vlog.prj
164B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/cos_64/xsim/xsim.ini
29.19KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/activehdl/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/activehdl/compile.do
778B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/activehdl/data_clock.sh
8.9KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/activehdl/data_clock.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/activehdl/file_info.txt
658B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/activehdl/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/activehdl/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/activehdl/simulate.do
291B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/modelsim/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/modelsim/compile.do
702B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/modelsim/data_clock.sh
9.33KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/modelsim/data_clock.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/modelsim/file_info.txt
658B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/modelsim/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/modelsim/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/modelsim/simulate.do
365B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/modelsim/wave.do
32B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/questa/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/questa/compile.do
690B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/questa/data_clock.sh
9.52KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/questa/data_clock.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/questa/elaborate.do
211B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/questa/file_info.txt
658B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/questa/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/questa/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/questa/simulate.do
249B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/questa/wave.do
32B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/riviera/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/riviera/compile.do
782B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/riviera/data_clock.sh
8.94KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/riviera/data_clock.udo
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/riviera/file_info.txt
658B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/riviera/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/riviera/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/riviera/simulate.do
291B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/vcs/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/vcs/data_clock.sh
11.13KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/vcs/file_info.txt
694B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/vcs/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/vcs/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/vcs/simulate.do
11B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xcelium/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xcelium/data_clock.sh
11.35KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xcelium/file_info.txt
694B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xcelium/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xcelium/hdl.var
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xcelium/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xcelium/simulate.do
117B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xsim/
-
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xsim/cmd.tcl
466B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xsim/data_clock.sh
9.92KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xsim/elab.opt
195B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xsim/file_info.txt
376B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xsim/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xsim/README.txt
2.41KB
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xsim/vlog.prj
272B
ATK-ADDA/ATK-ADDA.ip_user_files/sim_scripts/data_clock/xsim/xsim.ini
29.19KB
ATK-ADDA/ATK-ADDA.runs/
-
ATK-ADDA/ATK-ADDA.runs/.jobs/
-
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_1.xml
660B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_10.xml
428B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_100.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_101.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_102.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_103.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_104.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_105.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_106.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_107.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_108.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_109.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_11.xml
435B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_110.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_111.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_112.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_113.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_114.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_115.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_116.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_117.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_118.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_119.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_12.xml
407B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_120.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_121.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_122.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_123.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_124.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_125.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_126.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_127.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_128.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_129.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_13.xml
421B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_130.xml
643B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_131.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_132.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_133.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_134.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_135.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_136.xml
652B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_137.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_138.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_139.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_14.xml
428B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_140.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_141.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_142.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_143.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_144.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_145.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_146.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_147.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_148.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_149.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_15.xml
435B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_150.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_151.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_152.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_153.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_154.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_155.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_156.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_157.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_158.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_159.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_16.xml
407B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_160.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_161.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_162.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_163.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_164.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_165.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_166.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_167.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_168.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_169.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_17.xml
421B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_170.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_171.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_172.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_173.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_174.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_175.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_176.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_177.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_178.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_179.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_18.xml
428B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_180.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_181.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_182.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_183.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_184.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_185.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_186.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_187.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_188.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_189.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_19.xml
435B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_190.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_191.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_192.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_193.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_194.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_195.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_196.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_197.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_198.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_199.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_2.xml
407B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_20.xml
407B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_200.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_201.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_202.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_21.xml
421B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_22.xml
428B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_23.xml
435B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_24.xml
435B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_25.xml
407B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_26.xml
421B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_27.xml
428B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_28.xml
435B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_29.xml
407B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_3.xml
421B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_30.xml
421B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_31.xml
428B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_32.xml
425B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_33.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_34.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_35.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_36.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_37.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_38.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_39.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_4.xml
632B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_40.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_41.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_42.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_43.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_44.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_45.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_46.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_47.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_48.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_49.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_5.xml
428B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_50.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_51.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_52.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_53.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_54.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_55.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_56.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_57.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_58.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_59.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_6.xml
435B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_60.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_61.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_62.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_63.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_64.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_65.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_66.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_67.xml
624B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_68.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_69.xml
425B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_7.xml
435B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_70.xml
423B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_71.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_72.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_73.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_74.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_75.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_76.xml
425B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_77.xml
425B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_78.xml
423B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_79.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_8.xml
407B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_80.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_81.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_82.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_83.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_84.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_85.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_86.xml
424B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_87.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_88.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_89.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_9.xml
421B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_90.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_91.xml
425B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_92.xml
643B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_93.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_94.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_95.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_96.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_97.xml
403B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_98.xml
417B
ATK-ADDA/ATK-ADDA.runs/.jobs/vrs_config_99.xml
424B
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/
-
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/.end.used_ip_cache.rst
-
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/.vivado.begin.rst
229B
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/.vivado.end.rst
-
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/.Vivado_Synthesis.queue.rst
-
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/.Xil/
-
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/__synthesis_is_complete__
-
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.dcp
31.56KB
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.tcl
12.68KB
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.vds
2.93KB
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/dont_touch.xdc
1.19KB
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/gen_run.xml
2.19KB
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/htr.txt
478B
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/ISEWrap.js
8.24KB
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/ISEWrap.sh
2.12KB
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/rundef.js
1.46KB
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/runme.bat
305B
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/runme.log
2.49KB
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/runme.sh
1.37KB
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/vivado.jou
1004B
ATK-ADDA/ATK-ADDA.runs/blk_mem_gen_0_synth_1/vivado.pb
2.69KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/
-
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/.vivado.begin.rst
229B
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/.vivado.end.rst
-
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/.Vivado_Synthesis.queue.rst
-
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/.Xil/
-
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/__synthesis_is_complete__
-
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp
9.84KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl
12.95KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/clk_wiz_0.vds
18.12KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb
224B
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt
7.47KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/dont_touch.xdc
2.99KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/gen_run.xml
2.12KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/htr.txt
470B
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/ISEWrap.js
8.24KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/ISEWrap.sh
2.12KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/project.wdf
4.02KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/rundef.js
1.41KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/runme.bat
305B
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/runme.log
17.9KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/runme.sh
1.31KB
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/vivado.jou
977B
ATK-ADDA/ATK-ADDA.runs/clk_wiz_0_synth_1/vivado.pb
28.36KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/
-
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/.vivado.begin.rst
229B
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/.vivado.end.rst
-
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/.Vivado_Synthesis.queue.rst
-
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/.Xil/
-
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/__synthesis_is_complete__
-
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/cos_64.dcp
30.64KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/cos_64.tcl
12.21KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/cos_64.vds
31.34KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/cos_64_utilization_synth.pb
224B
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/cos_64_utilization_synth.rpt
7.5KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/dont_touch.xdc
1.1KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/gen_run.xml
2.33KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/htr.txt
464B
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/ISEWrap.js
8.24KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/ISEWrap.sh
2.12KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/project.wdf
4.02KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/rundef.js
1.41KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/runme.bat
305B
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/runme.log
31.29KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/runme.sh
1.3KB
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/vivado.jou
956B
ATK-ADDA/ATK-ADDA.runs/cos_64_synth_1/vivado.pb
49.34KB
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/
-
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/.vivado.begin.rst
229B
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/.vivado.end.rst
-
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/.Vivado_Synthesis.queue.rst
-
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/.Xil/
-
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/__synthesis_is_complete__
-
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/data_clock.dcp
9.87KB
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/data_clock.tcl
12.98KB
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/data_clock.vds
18.14KB
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/data_clock_utilization_synth.pb
224B
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/data_clock_utilization_synth.rpt
7.48KB
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/dont_touch.xdc
3.02KB
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/gen_run.xml
2.14KB
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/htr.txt
472B
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/ISEWrap.js
8.24KB
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/ISEWrap.sh
2.12KB
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/rundef.js
1.46KB
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/runme.bat
305B
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/runme.log
17.92KB
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/runme.sh
1.36KB
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/vivado.jou
984B
ATK-ADDA/ATK-ADDA.runs/data_clock_synth_1/vivado.pb
28.37KB
ATK-ADDA/ATK-ADDA.runs/impl_1/
-
ATK-ADDA/ATK-ADDA.runs/impl_1/.init_design.begin.rst
190B
ATK-ADDA/ATK-ADDA.runs/impl_1/.init_design.end.rst
-
ATK-ADDA/ATK-ADDA.runs/impl_1/.opt_design.begin.rst
190B
ATK-ADDA/ATK-ADDA.runs/impl_1/.opt_design.end.rst
-
ATK-ADDA/ATK-ADDA.runs/impl_1/.phys_opt_design.begin.rst
190B
ATK-ADDA/ATK-ADDA.runs/impl_1/.phys_opt_design.end.rst
-
ATK-ADDA/ATK-ADDA.runs/impl_1/.place_design.begin.rst
190B
ATK-ADDA/ATK-ADDA.runs/impl_1/.place_design.end.rst
-
ATK-ADDA/ATK-ADDA.runs/impl_1/.route_design.begin.rst
190B
ATK-ADDA/ATK-ADDA.runs/impl_1/.route_design.end.rst
-
ATK-ADDA/ATK-ADDA.runs/impl_1/.vivado.begin.rst
457B
ATK-ADDA/ATK-ADDA.runs/impl_1/.vivado.end.rst
-
ATK-ADDA/ATK-ADDA.runs/impl_1/.Vivado_Implementation.queue.rst
-
ATK-ADDA/ATK-ADDA.runs/impl_1/.write_bitstream.begin.rst
189B
ATK-ADDA/ATK-ADDA.runs/impl_1/.write_bitstream.end.rst
-
ATK-ADDA/ATK-ADDA.runs/impl_1/.Xil/
-
ATK-ADDA/ATK-ADDA.runs/impl_1/gen_run.xml
8.84KB
ATK-ADDA/ATK-ADDA.runs/impl_1/htr.txt
466B
ATK-ADDA/ATK-ADDA.runs/impl_1/init_design.pb
4.92KB
ATK-ADDA/ATK-ADDA.runs/impl_1/ISEWrap.js
8.24KB
ATK-ADDA/ATK-ADDA.runs/impl_1/ISEWrap.sh
2.12KB
ATK-ADDA/ATK-ADDA.runs/impl_1/opt_design.pb
12.18KB
ATK-ADDA/ATK-ADDA.runs/impl_1/phys_opt_design.pb
1.92KB
ATK-ADDA/ATK-ADDA.runs/impl_1/place_design.pb
14.52KB
ATK-ADDA/ATK-ADDA.runs/impl_1/project.wdf
3.86KB
ATK-ADDA/ATK-ADDA.runs/impl_1/route_design.pb
12.38KB
ATK-ADDA/ATK-ADDA.runs/impl_1/rundef.js
1.47KB
ATK-ADDA/ATK-ADDA.runs/impl_1/runme.bat
305B
ATK-ADDA/ATK-ADDA.runs/impl_1/runme.log
46.26KB
ATK-ADDA/ATK-ADDA.runs/impl_1/runme.sh
1.36KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP.bit
1.99MB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP.tcl
4.95KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP.vdi
46.58KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_13548.backup.vdi
28.94KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_26000.backup.vdi
28.97KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_bus_skew_routed.pb
30B
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_bus_skew_routed.rpt
930B
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_bus_skew_routed.rpx
1KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_clock_utilization_routed.rpt
20.77KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_control_sets_placed.rpt
4.72KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_drc_opted.pb
37B
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_drc_opted.rpt
14.83KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_drc_opted.rpx
27.54KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_drc_routed.pb
37B
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_drc_routed.rpt
15.69KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_drc_routed.rpx
29.12KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_io_placed.rpt
118.15KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_methodology_drc_routed.pb
52B
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_methodology_drc_routed.rpt
12.74KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_methodology_drc_routed.rpx
18.95KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_opt.dcp
289.52KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_physopt.dcp
322.67KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_placed.dcp
322.38KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_power_routed.rpt
9.58KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_power_routed.rpx
50.59KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_power_summary_routed.pb
866B
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_route_status.pb
43B
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_route_status.rpt
588B
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_routed.dcp
332.86KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_timing_summary_routed.pb
52B
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_timing_summary_routed.rpt
48.45KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_timing_summary_routed.rpx
52.69KB
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_utilization_placed.pb
224B
ATK-ADDA/ATK-ADDA.runs/impl_1/TOP_utilization_placed.rpt
10.26KB
ATK-ADDA/ATK-ADDA.runs/impl_1/vivado.jou
927B
ATK-ADDA/ATK-ADDA.runs/impl_1/vivado.pb
149B
ATK-ADDA/ATK-ADDA.runs/impl_1/vivado_13548.backup.jou
928B
ATK-ADDA/ATK-ADDA.runs/impl_1/vivado_26000.backup.jou
928B
ATK-ADDA/ATK-ADDA.runs/impl_1/write_bitstream.pb
30.2KB
ATK-ADDA/ATK-ADDA.runs/synth_1/
-
ATK-ADDA/ATK-ADDA.runs/synth_1/.vivado.begin.rst
229B
ATK-ADDA/ATK-ADDA.runs/synth_1/.vivado.end.rst
-
ATK-ADDA/ATK-ADDA.runs/synth_1/.Vivado_Synthesis.queue.rst
-
ATK-ADDA/ATK-ADDA.runs/synth_1/.Xil/
-
ATK-ADDA/ATK-ADDA.runs/synth_1/.Xil/TOP_propImpl.xdc
2.17KB
ATK-ADDA/ATK-ADDA.runs/synth_1/__synthesis_is_complete__
-
ATK-ADDA/ATK-ADDA.runs/synth_1/gen_run.xml
4.06KB
ATK-ADDA/ATK-ADDA.runs/synth_1/htr.txt
458B
ATK-ADDA/ATK-ADDA.runs/synth_1/incr_synth_reason.pb
57B
ATK-ADDA/ATK-ADDA.runs/synth_1/ISEWrap.js
8.24KB
ATK-ADDA/ATK-ADDA.runs/synth_1/ISEWrap.sh
2.12KB
ATK-ADDA/ATK-ADDA.runs/synth_1/project.wdf
3.86KB
ATK-ADDA/ATK-ADDA.runs/synth_1/rundef.js
1.4KB
ATK-ADDA/ATK-ADDA.runs/synth_1/runme.bat
305B
ATK-ADDA/ATK-ADDA.runs/synth_1/runme.log
21.31KB
ATK-ADDA/ATK-ADDA.runs/synth_1/runme.sh
1.29KB
ATK-ADDA/ATK-ADDA.runs/synth_1/TOP.dcp
31.84KB
ATK-ADDA/ATK-ADDA.runs/synth_1/TOP.tcl
6.85KB
ATK-ADDA/ATK-ADDA.runs/synth_1/TOP.vds
21.46KB
ATK-ADDA/ATK-ADDA.runs/synth_1/TOP_utilization_synth.pb
224B
ATK-ADDA/ATK-ADDA.runs/synth_1/TOP_utilization_synth.rpt
8.01KB
ATK-ADDA/ATK-ADDA.runs/synth_1/vivado.jou
923B
ATK-ADDA/ATK-ADDA.runs/synth_1/vivado.pb
33.47KB
ATK-ADDA/ATK-ADDA.sim/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/sim_behav.wcfg
6.69KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/compile.bat
870B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/cos_256point_new.coe
1.31KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/cos_64.mif
576B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/cos_64point_new.coe
385B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/elaborate.bat
1.1KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/elaborate.log
646B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/glbl.v
1.72KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/sim.tcl
460B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/sim_behav.wdb
1.65MB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/sim_vlog.prj
650B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/simulate.bat
1.07KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/simulate.log
355B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/sin_256point_new.coe
1.42KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xelab.pb
1.06KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/Compile_Options.txt
233B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_0.win64.obj
734.78KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_1.win64.obj
206.44KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_2.c
27.94KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/obj/xsim_2.win64.obj
17.28KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/TempBreakPointFile.txt
29B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.dbg
102.05KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.mem
23.44KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.reloc
29.18KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.rlx
814B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.rtti
547B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.svtype
147B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.type
24B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsim.xdbg
26.92KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimcrash.log
-
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimk.exe
925.45KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimkernel.log
317B
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/sim_behav/xsimSettings.ini
1.4KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@q@p@s@k.sdb
6.12KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@t@o@p.sdb
1.68KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/blk_mem_gen_0.sdb
5.81KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/cos_64.sdb
5.75KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/data_clock.sdb
1.34KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/data_clock_clk_wiz.sdb
4.81KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/data_generate.sdb
2.71KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb
4.41KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/sim.sdb
1.86KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
2.23KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.ini
29.68KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xsim.ini.bak
29.68KB
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xvlog.log
-
ATK-ADDA/ATK-ADDA.sim/sim_1/behav/xsim/xvlog.pb
16B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/blk_mem_gen_0.mif
2.25KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/compile.bat
870B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/cos_256point_new.coe
1.31KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/elaborate.bat
1.19KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/elaborate.log
2.05KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/hs_err_pid26092.dmp
715.56KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/hs_err_pid26092.log
143B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/sim.tcl
460B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/sim_time_impl.sdf
85.01KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/sim_time_impl.v
60.81KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/sim_time_impl.wdb
242.98KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/sim_vlog.prj
250B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/simulate.bat
1.09KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/simulate.log
452B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/sin_256point_new.coe
1.42KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xelab.pb
4.49KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/Compile_Options.txt
280B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/obj/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/obj/xsim_0.win64.obj
973.83KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/obj/xsim_1.win64.obj
962.94KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/obj/xsim_2.win64.obj
304.34KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/obj/xsim_3.c
130.75KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/obj/xsim_3.win64.obj
74.1KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/TempBreakPointFile.txt
29B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/xsim.dbg
54.23KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/xsim.mem
88.25KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/xsim.reloc
111.03KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/xsim.rlx
913B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/xsim.rtti
490B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/xsim.svtype
120B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/xsim.type
24B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/xsim.xdbg
6.67KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/xsimcrash.log
-
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/xsimk.exe
2.09MB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/xsimkernel.log
326B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/sim_time_impl/xsimSettings.ini
1.4KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/
-
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/@q@p@s@k.sdb
12.33KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/@t@o@p.sdb
4.92KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/blk_mem_gen_0.sdb
15.69KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/blk_mem_gen_0_blk_mem_gen_generic_cstr.sdb
1.25KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/blk_mem_gen_0_blk_mem_gen_prim_width.sdb
1.23KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/blk_mem_gen_0_blk_mem_gen_prim_wrapper_init.sdb
9.68KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/blk_mem_gen_0_blk_mem_gen_top.sdb
1.21KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/blk_mem_gen_0_blk_mem_gen_v8_4_6.sdb
11.67KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/blk_mem_gen_0_blk_mem_gen_v8_4_6_synth.sdb
1.25KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/data_clock.sdb
1.22KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/data_clock_clk_wiz.sdb
6.38KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/data_generate.sdb
12.47KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/glbl.sdb
4.41KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/sim.sdb
1.87KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
758B
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.ini
29.68KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xsim.ini.bak
29.68KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xvlog.log
1.13KB
ATK-ADDA/ATK-ADDA.sim/sim_1/impl/timing/xsim/xvlog.pb
1.96KB
ATK-ADDA/ATK-ADDA.srcs/
-
ATK-ADDA/ATK-ADDA.srcs/constrs_1/
-
ATK-ADDA/ATK-ADDA.srcs/constrs_1/new/
-
ATK-ADDA/ATK-ADDA.srcs/constrs_1/new/QPSK_XDC.xdc
1.46KB
ATK-ADDA/ATK-ADDA.srcs/sim_1/
-
ATK-ADDA/ATK-ADDA.srcs/sim_1/new/
-
ATK-ADDA/ATK-ADDA.srcs/sim_1/new/sim.v
992B
ATK-ADDA/ATK-ADDA.srcs/sources_1/
-
ATK-ADDA/ATK-ADDA.srcs/sources_1/ip/
-
ATK-ADDA/ATK-ADDA.srcs/sources_1/ip/blk_mem_gen_0/
-
ATK-ADDA/ATK-ADDA.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.xci
21.45KB
ATK-ADDA/ATK-ADDA.srcs/sources_1/ip/blk_mem_gen_0_1/
-
ATK-ADDA/ATK-ADDA.srcs/sources_1/ip/blk_mem_gen_0_1/blk_mem_gen_0.xci
21.5KB
ATK-ADDA/ATK-ADDA.srcs/sources_1/ip/clk_wiz_0/
-
ATK-ADDA/ATK-ADDA.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci
67.2KB
ATK-ADDA/ATK-ADDA.srcs/sources_1/ip/cos_64/
-
ATK-ADDA/ATK-ADDA.srcs/sources_1/ip/cos_64/cos_64.xci
21.43KB
ATK-ADDA/ATK-ADDA.srcs/sources_1/ip/data_clock/
-
ATK-ADDA/ATK-ADDA.srcs/sources_1/ip/data_clock/data_clock.xci
67.18KB
ATK-ADDA/ATK-ADDA.srcs/sources_1/new/
-
ATK-ADDA/ATK-ADDA.srcs/sources_1/new/AD.v
1.68KB
ATK-ADDA/ATK-ADDA.srcs/sources_1/new/DA.v
1.48KB
ATK-ADDA/ATK-ADDA.srcs/sources_1/new/data_generate.v
1.4KB
ATK-ADDA/ATK-ADDA.srcs/sources_1/new/dds_256x8b_wave.mif
4.49KB
ATK-ADDA/ATK-ADDA.srcs/sources_1/new/QPSK.v
3.24KB
ATK-ADDA/ATK-ADDA.srcs/sources_1/new/TOP.v
1.21KB
ATK-ADDA/ATK-ADDA.srcs/utils_1/
-
ATK-ADDA/ATK-ADDA.srcs/utils_1/imports/
-
ATK-ADDA/ATK-ADDA.srcs/utils_1/imports/synth_1/
-
ATK-ADDA/ATK-ADDA.srcs/utils_1/imports/synth_1/TOP.dcp
26.43KB
ATK-ADDA/ATK-ADDA.xpr
23.94KB
ATK-ADDA/hs_err_pid32816.log
118.27KB
ATK-ADDA/vivado.jou
5.76KB
ATK-ADDA/vivado.log
91.5KB
ATK-ADDA/vivado_12028.backup.jou
1.02KB
ATK-ADDA/vivado_12028.backup.log
6.35KB
ATK-ADDA/vivado_18588.backup.jou
991B
ATK-ADDA/vivado_18588.backup.log
6.08KB
ATK-ADDA/vivado_23612.backup.jou
991B
ATK-ADDA/vivado_23612.backup.log
6.08KB
ATK-ADDA/vivado_26044.backup.jou
991B
ATK-ADDA/vivado_26044.backup.log
6.28KB
ATK-ADDA/vivado_32604.backup.jou
4.07KB
ATK-ADDA/vivado_32604.backup.log
52.47KB
ATK-ADDA/vivado_pid27304.str
476.74KB
cos_256point_new.coe
1.31KB
cos_64point_new.coe
385B
dds_256x8b_wave.mif
4.49KB
sin_256point_new.coe
1.42KB
wave_to_coe.asv
1.31KB
wave_to_coe.m
1.16KB
优化方向.txt
207B

资源内容介绍

芯片:XC7Z010CLG400-1调制方式:相位选择法
################################################################################# Vivado (TM) v2023.1 (64-bit)## README.txt: Please read the sections below to understand the steps required# to simulate the design for a simulator, the directory structure# and the generated exported files.#################################################################################1. Simulate DesignTo simulate design, cd to the simulator directory and execute the script.For example:-% cd questa% ./top.shThe export simulation flow requires the Xilinx pre-compiled simulation librarycomponents for the target simulator. These components are referred using the'-lib_map_path' switch. If this switch is specified, then the export simulationwill automatically set this library path in the generated script and update,copy the simulator setup file(s) in the exported directory.If '-lib_map_path' is not specified, then the pre-compiled simulation libraryinformation will not be included in the exported scripts and that may causesimulation errors when running this script. Alternatively, you can provide thelibrary information using this switch while executing the generated script.For example:-% ./top.sh -lib_map_path /design/questa/clibsPlease refer to the generated script header 'Prerequisite' section for more details.2. Directory StructureBy default, if the -directory switch is not specified, export_simulation willcreate the following directory structure:-<current_working_directory>/export_sim/<simulator>For example, if the current working directory is /tmp/test, export_simulationwill create the following directory path:-/tmp/test/export_sim/questaIf -directory switch is specified, export_simulation will create a simulatorsub-directory under the specified directory path.For example, 'export_simulation -directory /tmp/test/my_test_area/func_sim'command will create the following directory:-/tmp/test/my_test_area/func_sim/questaBy default, if -simulator is not specified, export_simulation will create asimulator sub-directory for each simulator and export the files for each simulatorin this sub-directory respectively.IMPORTANT: Please note that the simulation library path must be specified manuallyin the generated script for the respective simulator. Please refer to the generatedscript header 'Prerequisite' section for more details.3. Exported script and filesExport simulation will create the driver shell script, setup files and copy thedesign sources in the output directory path.By default, when the -script_name switch is not specified, export_simulation willcreate the following script name:-<simulation_top>.sh (Unix)When exporting the files for an IP using the -of_objects switch, export_simulationwill create the following script name:-<ip-name>.sh (Unix)Export simulation will create the setup files for the target simulator specifiedwith the -simulator switch.For example, if the target simulator is "xcelium", export_simulation will create the'cds.lib', 'hdl.var' and design library diectories and mappings in the 'cds.lib'file.

用户评论 (0)

发表评论

captcha